Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
vivado:getting_started:start [2022/10/13 21:45] Arthur Brownvivado:getting_started:start [2023/04/27 17:11] (current) – [10. Finished!] James Colvin
Line 1: Line 1:
 +====== Getting Started with Vivado ======
 +<WRAP center round important 60%>
 +For the most up to date version of this guide, please visit [[programmable-logic/guides/getting-started-with-vivado|Getting Started with Vivado for Hardware-Only Designs]].
 +</WRAP>
  
 +===== Introduction =====
 +
 +{{ :vivado:getting_started:vivado_home.png?direct&500 |The Vivado Start Page}}
 +
 +The goal of this guide is to familiarize the reader with the Vivado tools through the hello world of hardware, blinking an LED.
 +
 +**Note:** //While this guide was created using Vivado 2016.4, the workflow described has not substantially changed, and the guide works as described through Vivado 2019.2, the latest version as of time of writing.//
 +
 +----
 +===== Prerequisites =====
 +Prior to starting this guide make sure to install Vivado:
 +  * For versions 2019.2 and later, see [[vivado:installing-vivado:v2019.2]].
 +  * For versions prior to 2019.2, see [[vivado:installing-vivado:start]].
 +
 +----
 +===== Guide =====
 +==== 1. Launching Vivado ====
 +<WRAP group>
 +<WRAP column half>
 +**Windows**\\
 +Open the start menu or desktop shortcut created during the installation process.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:vivado-shortcut.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +**Linux**\\
 +Open a terminal, cd into a working directory that can be cluttered with temporary Vivado files and logs, then run the following:
 +<code>source <install_path>/Vivado/<version>/settings64.sh && vivado</code>
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:linux_start_vivado.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +==== 2. The Start Page ====
 +This is the screen that displays after Vivado starts up. The buttons are described below using the image as a guide.
 +
 +{{ :vivado:getting_started:v2016.4:vivado-home-outline.jpg?direct&800 |}}
 +
 +== 1. Create New Project ==
 +
 +This button will open the New Project wizard. This wizard steps the user through creating a new project. The wizard is stepped through in section 3.
 +
 +== 2. Open Project ==
 +
 +This button will open a file browser. Navigate to the desired Xilinx Project (.xpr) file and click //Open// to open the project in Vivado.
 +
 +== 3. Open Example Project ==
 +
 +This will guide the user through creating a new project based on an example project. These projects will not work on all devices.
 +
 +== 4. Open Hardware Manager ==
 +
 +This will open the Hardware Manager without an associated project. If connecting to and programming a device is all that is required by the user, then this is the button to use.
 +
 +==== 3. Creating a New Project ====
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 3.1 ===
 +From the start page, select the //Create New Project// button to start the New Project Wizard.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:vivado-home-create-new.jpg?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 3.2 ===
 +The text in this dialog describes the steps that will be taken to create a project. Click //Next// to continue to the first step.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:new-project-wiz-0.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 3.3 ===
 +The first step is to set the name of the project. Vivado will use this name when generating its folder structure.
 +<WRAP center round important>
 +=== Important ===
 +Do NOT use spaces in your project name or location path. This will cause problems with Vivado. Instead use an underscore, a dash, or [[wp>CamelCase]].
 +</WRAP>
 +Click //Next// to continue.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:new-project-wiz-1.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 3.4 ===
 +Now that the project has a name and a place to save its files we need to select the type of project we will be creating. Select //RTL Project// and make sure to check //Do not specify sources at this time//. Source files will be added and created after the project has been created. Advanced users may use the other options on this screen, but they will not be covered in this guide.
 +
 +Click //Next// to continue.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:new-project-wiz-2.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 3.5 ===
 +<WRAP round center important>
 +=== Important ===
 +If your board does not appear in this list, then Digilent's board files haven't yet been installed. If this is the case, revisit the prerequisites section of this guide, then close Vivado and start again from the beginning.
 +</WRAP>
 +Now it is time to choose the target device. Click the //Boards// tab at the top of the dialog, then select your board from the list.
 +
 +Click //Next// to continue.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:new-project-wiz-3.png?500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 3.6 ==
 +The next section gives a summary of the options selected throughout the wizard. Verify that the information looks correct and click //Finish//.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:new-project-wiz-4.png?500 |}}
 +</WRAP>
 +</WRAP>
 +
 +
 +==== 4. The Flow Navigator ====
 +<WRAP group>
 +<WRAP column half>
 +The //Flow Navigator// is the most important pane of the main Vivado window to know. It is how a user navigates between different Vivado tools.
 +
 +The Navigator is broken into seven sections:
 +  * //Project Manager//
 +    * Allows for quick access to project settings, adding sources, language templates, and the IP catalog
 +  * //IP Integrator//
 +    * Tools for creating Block Designs
 +  * //Simulation//
 +    * Allows a developer to verify the output of their design prior to programming their device
 +  * //RTL Analysis//
 +    * lets the developer see how the tools are interpreting their code
 +  * //Synthesis//
 +    * Gives access to Synthesis settings and post-synthesis reports
 +  * //Implementation//
 +    * Gives access to Implementation settings and post-implementation reports
 +  * //Program and Debug//
 +    * Access to settings for bitstream generation and the Hardware Manager
 +</WRAP>
 +<WRAP column half>
 +{{ vivado:getting_started:flownav.png?direct&200 |}}
 +</WRAP>
 +</WRAP>
 +
 +==== 5. The Project Manager ====
 +
 +<WRAP group>
 +<WRAP column half>
 +This tool is where most development will occur and is the initial tool open after creating a new project.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:project-manager.png?direct&600 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The //Project Manager// consists of four panes, //Sources//, //Properties//, //Results//, and the //Workspace//.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:project-manager-explained.png?direct&600 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The //Sources// pane contains the project hierarchy and is used for opening up files. The folder structure is organized such that the HDL files are kept under the //Design Sources// folder, constraints are kept under the //Constraints// folder, and simulation files are kept under the //Simulation Sources// folder. Files can be opened in the Workspace by double-clicking on the corresponding entry in the Sources pane. Sources can also be added by either right clicking the folder to add the file to and selecting //Add Sources// or by clicking the //Add Sources// button ({{:vivado:getting_started:add_sources_btn.png?nolink}}).
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:sources-pane.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The //Properties// pane allows for viewing and editing of file properties. When a file is selected in the Sources pane its properties are shown in here. This pane can usually be ignored.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:properties-pane.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The unnamed pane at the bottom of the Project Manager window consists of several different useful tools for debugging a project. The most important one to know is the //Messages// tool. This tool parses the Tcl console for errors, warnings, and other important information and displays it in an informative way.
 +
 +These tools can be accessed by selecting the different tabs at the bottom of this pane.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:results-pane.png?direct&700 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The //Tcl Console// is a tool that allows for running commands directly without the use of the main user interface. Some messages may link to the Tcl Console to provide more information regarding an error.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:tcl-console-tab.png?direct&700 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The //Reports// tool is useful for quickly jumping to any one of the many reports that Vivado generates on a design. These reports include power, timing, and utilization just to name a few.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:reports-tab.png?direct&700 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The //Log// displays the output from the latest Synthesis, Implementation, and Simulation runs. Digging into this is usually not necessary as the reports and messages view store the information in the log in a more readable format.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:log-tab.png?direct&700 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The last tool is the //Design Runs//. Using this tool run settings can be edited and new runs can be created. This tool is useful when targeting multiple devices with the same design.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:design-runs-tab.png?direct&700 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The most important pane in the Project Manager is the //Workspace//. The Workspace is where reports are opened for viewing and HDL/constraints files are opened for editing. Initially the Workspace displays the //Project Summary// which show some basic information from some of the reports.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:workspace-pane.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +==== 6. Adding a Constraint File ====
 +
 +In order to connect HDL code with the physical pins of the FPGA, a constraint file needs to be added or created. Digilent has produced a Xilinx Design Constraint (XDC) file for each of our boards. Download [[https://github.com/Digilent/digilent-xdc/archive/master.zip|digilent-xdc-master.zip]], the ZIP Archive containing each of these master XDC files, then extract it in a location you will remember.
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 6.1 ===
 +In the //Project Manager// section of the //Flow Navigator//, click the {{:vivado:getting_started:v2016.4:sources:add-sources.png}} button. In the wizard that pops up, select //Add or create constraints// then click //Next//.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:sources:add-constr-1.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 6.2 ===
 +At this stage, Vivado provides a list of all of the constraint files that will be added or created when we click Finish. Currently this list is empty, this will change when files have been added or created. A constraint file will not be created from scratch in this guide, so click //Add Files//.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:sources:add-constr-2.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 6.3 ===
 +Find the directory you extracted the //digilent-xdc-master.zip// archive into, then click on the file for your board. This should add the name of the file to the //File Name// field.
 +
 +Click //OK// to continue.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:sources:add-constr-3.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 6.4 ===
 +Make sure that the selected XDC file has been added into the list of sources, and that the //Copy constraint files into project// is checked, then click //Finish//.
 +
 +**Note:** //Leaving the Copy... box unchecked means that any editing done to the constraint file will be reflected in the original copy. Checking the box is highly recommended//
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:sources:add-constr-4.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +=== 6.5 ===
 +<WRAP group>
 +<WRAP column half>
 +In the //Sources// pane of the //Project Manager//, expand the //Constraints// folder, then double click on the XDC file you just added. Each of Digilent's XDC files contains constraints for each of the commonly used peripherals on their respective boards. For this demo, constraining the default system clock and a single led is required.
 +
 +Find and uncomment the lines that call //get_ports// on the names led[0] and clk by removing the '#' symbol at the beginning of the line. On some boards the clock port will consist of two different ports, clk_p and clk_n. The clock port is occasionally named something like sysclk, but should appear at the top of the XDC file. Uncomment the //create_clock// line that follows the clock port/s definition as well.
 +<WRAP round center tip>
 +=== Tip ===
 +A board using clk_p/clk_n pins means that the input clock that uses differential logic. If you want to know more read this article on [[wp>low-voltage differential signalling]].
 +</WRAP>
 +Change the name inside of the get_ports call to 'led' from 'led[0]'. Do the same for the clock if it is something other than 'clk' or 'clk_p' and 'clk_n'.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:edit-constraints.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +==== 7. Creating a Verilog Source File ====
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 7.1 ===
 +In the //Project Manager// section of the //Flow Navigator//, click the {{:vivado:getting_started:v2016.4:sources:add-sources.png}} button again. Select //Add or create design sources// then click //Next//.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:sources:create-source-1.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 7.2 ===
 +As before, at this stage, we will be provided a list of all of the source files that will be added or created when we click Finish. Instead of clicking Add Files, click //Create File//.
 +<WRAP round center tip>
 +=== Tip ===
 +It is also possible to add existing source files in the same way as we added the constraint file above.
 +</WRAP>
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:sources:create-source-2.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 7.3 ===
 +You will be prompted to select a //File type//, //File name//, and //File location//. Make sure to pick //Verilog// and //<Local to project>// for the type and location. Give your file a name ending in '.v'.
 +<WRAP center round important>
 +=== Important ===
 +Do NOT use spaces in your file name. This will cause problems with Vivado. Instead use an underscore, a dash, or [[wp>CamelCase]].
 +</WRAP>
 +Click //OK// to continue.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:sources:create-source-3.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +=== 7.4 ===
 +Make sure that the new Verilog source file has been added into the list of sources, then click //Finish//.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:sources:create-source-4.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +=== 7.5 ===
 +Unlike when the constraint file was added, at this point a //Define Module// dialog will pop up. You can rename your Verilog module using the //Module name// field, but this is unnecessary. The Verilog module's clock and led ports need to be defined. Clicking the //Add// ({{:vivado:getting_started:v2016.4:sources:add-port.png?direct|}}) button will add an empty slot for a port to the //I/O Port Definitions// list.
 +
 +{{ :vivado:getting_started:v2016.4:sources:define-module-clk.png?direct&500 |}}
 +
 +There are five fields to define for each of the module's I/O ports:
 +  * **Port Name:** This field defines the name of the port and needs to match one of the names you used in your XDC file.
 +  * **Direction:** This drop-down menu can be set to //input//, //output//, or //inout//, defining the direction that signals propagate through this port, with respect to your module. Outputs are the signals that your module will be controlling.
 +  * **Bus:** This can be checked or not, when checked, this port consists of multiple single bit signals, grouped into a single bus.
 +  * **MSB:** The index of the most significant bit of the port, if it is a bus. This option is grayed out for single-bit ports.
 +  * **LSB:** The index of the least significant bit of the port, if it is a bus. This option is grayed out for single-bit ports.
 +
 +<WRAP round center tip>
 +=== Tip ===
 +If you are defining a module which will be instantiated in another module, which we will not go into in this guide, be aware that the port names should not be declared in the XDC, this is only done for your 'top' module.
 +</WRAP>
 +
 +If your board uses differential clocking, add two single-bit input ports with the same names as the positive and negative clock ports that were uncommented in your XDC file. Otherwise, add a single single-bit input port with the same name as the clock port that was uncommented in your XDC file.
 +
 +Add a single-bit output port with the same name as the LED port that was uncommented in your XDC file.
 +
 +Once these two or three ports have been added, click //OK// to continue.
 +
 +=== 7.6 ===
 +At this point, the new source file will be added to the //Design Sources// folder in the //Sources// pane of the //Project Manager//. Expand this folder and double click on the file to open it.
 +
 +Next, some Verilog code needs to be written to define how the design will actually behave.
 +
 +{{ :vivado:getting_started:v2016.4:sources:edit-source.png?direct&800 |}}
 +
 +Between the ');' that comes after the module's port list and the 'endmodule' statement, add the following code:
 +
 +<code verilog>
 +reg [24:0] count = 0;
 +
 +assign led = count[24];
 +
 +always @ (posedge(clk)) count <= count + 1;
 +</code>
 +
 +If your board is differentially clocked, add the following lines of code after ');' and before the 'reg [24:0] count = 0;' line:
 +
 +<code verilog>
 +wire clk;
 +
 +IBUFGDS clk_inst (
 +    .O(clk),
 +    .I(clk_p),
 +    .IB(clk_n)
 +);
 +</code>
 +
 +It should be noted that the rate at which the clock will blink will differ depending on the board used. System clocks on different Digilent boards run at a number of different rates, depending on the needs of the board. The system clock period in nanoseconds can be found on the //create_clock// line of the XDC file.
 +
 +After completing this guide, it is suggested to try changing the provided Verilog code so that the clock blinks at 1 Hertz - changing the XDC file beyond commenting or uncommenting entire lines is not recommended.
 +
 +==== 8. Synthesis, Implementation, and Bitstream Generation ====
 +
 +In order to create a file that can be used to program the target board, each stage of the "compilation pipeline" needs to be run.
 +
 +This starts with //Synthesis//. Synthesis turns HDL files into a transistor level description based on timing and I/O constraints. To run Synthesis click either {{:vivado:getting_started:synth_ico.png?nolink}} in the toolbar or {{:vivado:getting_started:synth_btn.png?nolink}} in the //Flow Navigator//. The output of Synthesis is then passed to Implementation.
 +
 +//Implementation// has several steps. The steps that are always run are //Opt Design// (Optimize the design to fit on the target FPGA), //Place Design// (Lay out the design in the target FPGA fabric), and //Route Design// (Route signals through the fabric). To run Implementation click either {{:vivado:getting_started:impl_ico.png?nolink}} in the toolbar or {{:vivado:getting_started:impl_btn.png?nolink}} in the //Flow Navigator//. This output is then passed on to the Bitstream Generator.
 +
 +The //Bitstream Generator// generates the final outputs needed for programming the FPGA. To run Bitstream Generation click either {{:vivado:getting_started:gen_bit_ico.png?nolink}} in the toolbar or {{:vivado:getting_started:gen_bit_btn.png?nolink}} in the //Flow Navigator//. With no settings changed, the generator will create a '.bit' file.
 +
 +==== 9. The Hardware Manager ====
 +
 +The //Hardware Manager// is used for programming the target device.
 +
 +{{ :vivado:getting_started:v2016.4:hardware-manager:hardware-manager.png?direct&700 |}}
 +
 +The first step to programming a device is to connect the Vivado Hardware Server to it. There are two ways to do this.
 +
 +**1. Open New Hardware Target**
 +
 +<WRAP group>
 +<WRAP column half>
 +The first method is to manually open the target. This is required if the hardware is connected to another computer. To get to the //Open Hardware Target// wizard either open the //Hardware Manager// and click the {{:vivado:getting_started:open_target_banner.png?nolink}} link in the green banner or click the {{:vivado:getting_started:open_target_btn.png?nolink}} button in the //Flow Navigator// under {{:vivado:getting_started:hw_man_btn.png?nolink}}. From the drop-down that opens, select {{:vivado:getting_started:open_new_target_btn.png?nolink}}.
 +
 +Once the wizard opens, click //Next//.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:open_target.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The next screen asks if the hardware server is local or remote. If the board is connected to the host computer choose local, if it is connected to another machine choose remote and fill in the //Host Name// and //Port// fields.
 +
 +Click //Next// to continue.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:open_target_hw_srvr_settings.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +This screen gives a list of devices connected to the hardware server. If there is only one connected it should be the only device shown. If there are multiple connected devices, determine the serial number of the device to connect to and find it in the list.
 +
 +Click //Next// to continue.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:v2016.4:project-manager:open-new-hardware-target.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +<WRAP group>
 +<WRAP column half>
 +The final screen shows a summary of the options selected in the wizard. Verify the information and click //Finish//. The board is now connected to the hardware manager.
 +</WRAP>
 +<WRAP column half>
 +{{ :vivado:getting_started:open_target_summary.png?direct&500 |}}
 +</WRAP>
 +</WRAP>
 +
 +**2. Auto-Connect**
 +
 +The second method is to automatically open the target. To get to the {{:vivado:getting_started:auto_connect_btn.png?nolink}} button either open the Hardware Manager and click the {{:vivado:getting_started:open_target_banner.png?nolink}} link in the //green banner// at the top of the window or click the {{:vivado:getting_started:open_target_btn.png?nolink}} button in the //Flow Navigator// under {{:vivado:getting_started:hw_man_btn.png?nolink}}. From the drop-down that opens select {{:vivado:getting_started:auto_connect_btn.png?nolink}}. Vivado will attempt to find a hardware server running on the local machine and will connect to the device on the server.
 +
 +----
 +
 +**Programming**
 +
 +To program the device with the bit file generated earlier, either click the {{:vivado:getting_started:prog_dev_banner.png?nolink}} link in the //green banner// at the top of the window or click the {{:vivado:getting_started:prog_dev_btn.png?nolink}} button in the //Flow Navigator// under {{:vivado:getting_started:hw_man_btn.png?nolink}}. From the drop-down that opens, select the device to program (Example: {{:vivado:getting_started:prog_dev_ex.png?nolink}}) and the following window will open:
 +
 +{{ :vivado:getting_started:v2016.4:project-manager:program-device.png?direct&500 |}}
 +
 +The //Bitstream File// field should be automatically filled in with the bit file generated earlier. If not, click the {{:vivado:getting_started:browse_btn.png?nolink}} button at the right end of the field and navigate to \\ //<Project Directory>/<Project Name>.runs/impl_1/// and select the bit file (Example: {{:vivado:getting_started:bit_file_ex.png?nolink}}). Now click //Program//. This will connect to the board, clear the current configuration, and program using the new bit file.
 +
 +-----
 +
 +==== 10. Finished! ====
 +
 +You should now see one of the LEDs on your board blinking!
 +
 +Be sure to visit your board's resource center for more tutorials and demo projects. A link to each resource center can be found [[/programmable-logic/start|here]].
 +
 +{{tag>learn programmable-logic software tutorial vivado arty arty-a7 arty-s7 arty-z7 basys-3 cmod-a7 cmod-s7 cora-z7 genesys-2 nexys-4-ddr nexys-a7 nexys-video zybo-z7 zybo zedboard legacy}}