Search

You can find the results of your search below.

Fulltext results:

Zybo Z7 Reference Manual
52 Hits, Last modified:
additional hardware is made easy by the Zybo Z7's Pmod connectors, allowing access to Digilent's catalog of over 70 Pmod peripheral boards, including motor controllers, s... B LEDs (1*) * **Expansion Connectors** * 6 Pmod ports (5*) * 8 Total Processor I/O * ... r Switch | 12 | High-speed Pmod ports * | 23
Zybo Z7 Pmod VGA Demo @programmable-logic:zybo-z7:demos
43 Hits, Last modified:
====== Zybo Z7 Pmod VGA Demo ====== {{:learn:programmable-logic:tutorials:zybo-z7-pmod-vga-demo:zybo-z7-pmod-vga-connect.png?500|}} ---- ===== Description ===== This simple VGA Demo project demonstrates usage of a Pmod VGA connected to the Zybo's Pmod ports. The behav
Zybo Z7-20 Pmod ToF Demo @programmable-logic:zybo-z7:demos
36 Hits, Last modified:
====== Zybo Z7-20 Pmod ToF Demo ====== {{:programmable-logic:zybo-z7:demos:demo_setup.jpg?500|}} ---- ... was created to show the functionalities of the [[pmod:pmodtof:start|Pmod ToF (Time of Flight)]] connected to the Zybo Z7-20's Pmod port. Please consult the [[pmod:pmodtof:zynqlib
Zybo Z7 Migration Guide
17 Hits, Last modified:
2 | ^ Expansion Connectors ^ Pmod ports | 6 ... OT.bin file) will not work on the Zybo Z7. ===== Pmod Port Changes ===== The Pmod ports found on the ZYBO and Zybo Z7-20 are equivalent, however the Zybo Z7-10 has one fewer high-speed Pmod port attached to the Zynq-7000's programmable log
Zybo Z7
9 Hits, Last modified:
thernet, Video, and Audio connectivity | Bullet = Pmod connectors for adding-on hardware devices | Bulle... USB-UART \\ USB-JTAG Programmer \\ USB Host\OTG | Pmod Connectors = 6 (5*) | Switches = 4 Slide switches... gle LED. * [[learn/programmable-logic/tutorials/pmod-ips/start]] * Digilent Pmod IPs can be used to control connected Pmods from baremetal software.
Zybo Z7 XADC Demo @programmable-logic:zybo-z7:demos
4 Hits, Last modified:
r vertical pairs of pins - channels - of the XADC Pmod Port. * The LED associated with a channel brigh... o, you will need to connect a circuit to the XADC Pmod Port in order to measure a voltage. The following... rcuit is tied to the 3V3 and GND pins of the XADC Pmod header to provide power. Each of the 'n' pins, th... in the following table: | Channel Name ^ LED # ^ Pmod Pin #s ^ ^ AD14 | LD0 | 1 & 7 | ^ AD7 | LD1