Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
learn:programmable-logic:tutorials:nexys-video-getting-started-with-microblaze:start [2017/04/05 07:54] – [Nexys Video - Getting Started with Microblaze] Elod Gyorgylearn:programmable-logic:tutorials:nexys-video-getting-started-with-microblaze:start [2017/10/20 21:28] (current) Arthur Brown
Line 1: Line 1:
 ====== Nexys Video - Getting Started with Microblaze ====== ====== Nexys Video - Getting Started with Microblaze ======
 +
 +<WRAP round important 660px>
 +=== Important! ===
 +This guide is obsolete, the updated guide can be found [[:vivado:getting-started-with-ipi:start|here]].
 +</WRAP>
  
 FIXME This guide uses a strongly condemned clocking architecture, generating MIG input clocks internally and clocking the processor from something other than ui_clk. Use the example projects on our Github repo for reference, like FIXME This guide uses a strongly condemned clocking architecture, generating MIG input clocks internally and clocking the processor from something other than ui_clk. Use the example projects on our Github repo for reference, like
Line 405: Line 410:
 >{{:vivado:mig_61.jpg|}} >{{:vivado:mig_61.jpg|}}
  
-{{tag>learn programmable-logic tutorial nexys-video microblaze}}+/*{{tag>learn programmable-logic tutorial nexys-video microblaze}}*/