Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
vivado:installing-vivado:start [2018/03/01 18:17] Arthur Brownvivado:installing-vivado:start [2021/08/26 21:23] (current) Arthur Brown
Line 1: Line 1:
-====== Installing Vivado and Digilent Board Files ====== +====== Installing Vivado, Xilinx SDK, and Digilent Board Files ====== 
-===== Introduction ===== +~~REDIRECT>programmable-logic/guides/installing-vivado-and-sdk-~~ 
- +~~NOSEMANTIC~~ 
-This guide will show the process of installing and configuring the Vivado development environmentused for developing projects to run on Digilent FPGAs. In addition to the installation, Vivado will be pointed at Digilent's board support files, which are used to make the process of creating a new project significantly faster. In addition, the board files make it significantly easier to add a variety of peripherals (such as DDR memory) to a project. +{{tag>redirect}}
- +
-===== Prerequisites ===== +
- +
-  * 20+ GB of free hard-drive space. +
- +
-===== Guide ===== +
- +
-==== 1. Install Vivado ==== +
- +
-=== 1.1 === +
- +
-Open [[https://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/vivado-design-tools/2017-4.html|Xilinx's Downloads page]] in a new tab. Find the section of the page entitled "Vivado Design Suite - HLx Editions - 2017.4 Full Product Installation". Select the "Self Extracting Web Installer" download for the appropriate operating system. Follow the prompts to sign in or create an account for Xilinx's website. Once signed in, the internet browser will download the selected installer. +
- +
-=== 1.2 === +
- +
-To launch the installer, choose the dropdown for the appropriate operating system, and follow the instructions: +
- +
---> Windows # +
- +
-<WRAP group> +
-<WRAP column half> +
-Use Windows Explorer to find the installer executable in the Downloads directory. Double click on the executable to run it. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:windows-run.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<-- +
- +
---> Linux # +
-<WRAP group> +
-<WRAP column half> +
-Navigate to the directory that the installer binary was downloaded to in a terminal application, then enter the command below with the correct filename to execute it as a super-user: +
-<code> +
-chmod +x <installer>.bin && sudo ./<installer>.bin +
-</code> +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:linux-run.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<-- +
- +
-The rest of the steps in Section 1 are the same for both Windows and Linux. +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 1.3 === +
-At the Welcome screen, click **Next**. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:welcome.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 1.4 === +
-Use the same credentials as on the Xilinx website for user authentication. Select the **Download and Install Now** option and click **Next**. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:authenticate.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 1.5 === +
-Read and **accept** all three license agreements, then click **Next**. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:eulas.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 1.6 === +
-On the "Select Edition to Install" screen, several options are presented. Vivado WebPACK Edition is fully free, but will not work when developing for Digilent FPGAs that use a Virtex-7 part. Licenses are required to use Vivado Design and System Editions. This guide does not cover the acquisition and management of licenses. Select the most appropriate edition for the situation (for beginners, WebPACK), then click **Next**. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:select-editions.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 1.7 === +
-This screen provides more detailed options for the customization of the installation. The majority of these options do not need to be changed for a basic installation. The important options for a beginner to note here are described in the list below. Review the selections, then click **Next**. +
-  * //Design Tools:// +
-      * //Vivado Design Suite:// +
-          * Installs the main Vivado development environment. +
-      * //Software Development Kit:// +
-          * Installs an Eclipse-based development environment for Microblaze and Zynq designs. +
-      * //DocNav:// +
-          * Installs a navigation tool to quickly find appropriate Xilinx documentation of IP and examples. +
-  * //Devices:// +
-      * Allows customization of the set of Xilinx FPGA parts that can be designed for after installation. Modifying these settings can help to reduce the installation's footprint on the file-system. +
-  * //Installation Options:// +
-      * //Install Cable Drivers:// +
-          * Installs the appropriate drivers so that a connected FPGA can be programmed. **If Vivado has not been installed before, make sure to check this!** +
-      * //Acquire or Manage a License Key:// +
-          * Launches the Xilinx License manager after installation is complete. +
- +
-**NOTE:** Changes can be made to the installation after it is complete by re-running the Vivado Installer. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:customize-install.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 1.8 === +
-The "Select Destination Directory" screen shows how and where the installation will be placed in the computer's file system. Leaving all of these settings as default is typically fine. Click **Next** and then **Yes** if prompted to confirm that the installer will be creating a new directory. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:select-install-directory.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 1.9 === +
-Review the "Installation Summary", then click **Install**. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:summary.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 1.10 === +
-The installation process will take quite a while, as seen in the screenshot to the right. Find something else to work on until it completes. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:install-progress.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-==== 2. Installing Digilent Board Files ===+
- +
-<WRAP group> +
-<WRAP column half> +
-=== 2.1 === +
-Download the [[https://github.com/Digilent/vivado-boards/archive/master.zip|archive]] of the vivado-boards Github repository and extract it wherever desired. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:download-boards.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 2.2 === +
-Open the file "Vivado_init.tcl" in the "utility" subdirectory of the vivado-boards repo. Change the text "<extracted path>" in the first line of init.tcl to the extracted location of vivado-boards. Save and close the file. +
- +
-**NOTE:** The file init.tcl should be used instead of Vivado_init.tcl when installing Vivado versions 2016.4 and older. +
-</WRAP> +
-<WRAP column half> +
-{{ :vivado:installing-vivado:edit-init-tcl.png?800 |}} +
-</WRAP> +
-</WRAP> +
- +
-<WRAP group> +
-<WRAP column half> +
-=== 2.3 === +
-Copy init.tcl and paste it into the "%APPDATA%/Xilinx/Vivado/" directory for Windows or "$HOME/.Xilinx/Vivado/" (after authenticating as superuser) in Linux. +
-</WRAP> +
-<WRAP column half> +
-{{ :playground:vivado-installation-tutorial-rework:place-init-tcl.png?nolink&800 |}} +
-</WRAP> +
-</WRAP> +
- +
-==== In Conclusion ==== +
- +
-Vivado has now been installed and it has access to Digilent's board files! To begin using Vivado to develop a project, check out one of the tutorials below: +
-  * [[:vivado:getting_started:|Getting Started with Vivado]] +
-  *  [[:vivado:getting-started-with-ipi:|Getting Started With Vivado IP Integrator]] +
- +
----- +
- +
-{{tag>learn programmable-logic software tutorial vivado arty arty-a7 arty-s7 arty-z7 basys-3 cmod-a7 genesys-2 nexys-4 nexys-4-ddr nexys-video zedboard zybo zybo-z7 sword }}+