Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
programmable-logic:guides:installing-vivado-and-sdk [2021/08/26 21:23] Arthur Brownprogrammable-logic:guides:installing-vivado-and-sdk [2023/04/27 17:12] (current) – [In Conclusion] James Colvin
Line 2: Line 2:
 ===== Introduction ===== ===== Introduction =====
  
-This guide will show the process of installing and configuring the Vivado development environment, used for developing projects to run on Digilent FPGAs. In addition to the installation, Vivado will be pointed at Digilent's board support files, which are used to make the process of creating a new project significantly faster. In addition, the board files make it significantly easier to add a variety of peripherals (such as DDR memory) to a project. Xilinx SDK, used for developing C/C++ projects that target your hardware designs created in Vivado, will be installed as part of this process.+This guide will show the process of installing and configuring the Vivado development environment, used for developing projects to run on [[https://digilent.com/shop/boards-and-components/system-boards/fpga-boards/|Digilent FPGAs]]. In addition to the installation, Vivado will be pointed at Digilent's board support files, which are used to make the process of creating a new project significantly faster. In addition, the board files make it significantly easier to add a variety of peripherals (such as DDR memory) to a project. Xilinx SDK, used for developing C/C++ projects that target your hardware designs created in Vivado, will be installed as part of this process. 
 + 
 +<WRAP center round important 100%> 
 +**Important:** //With the release of Vivado 2019.2, Xilinx introduced the Vitis Unified Software Platform. The installers differ slightly between versions after and before this point. Take a look at the [[programmable-logic:guides:installing-vivado-and-vitis]] guide instead if you want to install version 2019.2 or newer.// 
 +</WRAP>
  
-**Important:** //With the release of Vivado 2019.2, Xilinx introduced the Vitis Unified Software Platform. The installers differ slightly between versions after and before this point. Take a look at the [[programmable-logic:guides:installation]] guide instead if you want to install version 2019.2 or newer.// 
 ---- ----
 ===== Prerequisites ===== ===== Prerequisites =====
  
-  * 20+ GB of free hard-drive space.+  * 40+ GB of free hard-drive space. The actual number varies depending on the specific tools and device support installed.
  
 ===== Guide ===== ===== Guide =====
Line 14: Line 17:
 ==== 1. Install Vivado ==== ==== 1. Install Vivado ====
 **Note:** //While the screenshots for this guide were taken for Vivado 2017.4, the installation process has not substantially changed in newer versions (through to 2019.1, at time of writing).// **Note:** //While the screenshots for this guide were taken for Vivado 2017.4, the installation process has not substantially changed in newer versions (through to 2019.1, at time of writing).//
-=== 1.1 === 
  
 Open [[https://www.xilinx.com/support/download.html|Xilinx's Downloads page]] in a new tab. Open the "Vivado Archive", and navigate to the version you want to install. Find the section of the page entitled "Vivado Design Suite - HLx Editions - (version number) Full Product Installation". Select the "Self Extracting Web Installer" download for the appropriate operating system. Follow the prompts to sign in or create an account for Xilinx's website. Once signed in, the internet browser will download the selected installer. Open [[https://www.xilinx.com/support/download.html|Xilinx's Downloads page]] in a new tab. Open the "Vivado Archive", and navigate to the version you want to install. Find the section of the page entitled "Vivado Design Suite - HLx Editions - (version number) Full Product Installation". Select the "Self Extracting Web Installer" download for the appropriate operating system. Follow the prompts to sign in or create an account for Xilinx's website. Once signed in, the internet browser will download the selected installer.
Line 21: Line 23:
  
 ---- ----
-=== 1.2 === 
  
 To launch the installer, choose the dropdown for the appropriate operating system, and follow the instructions: To launch the installer, choose the dropdown for the appropriate operating system, and follow the instructions:
Line 58: Line 59:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 1.3 === 
 At the Welcome screen, make sure that the operating system of the computer being used is listed in the compatibility list, then click **Next**. At the Welcome screen, make sure that the operating system of the computer being used is listed in the compatibility list, then click **Next**.
 </WRAP> </WRAP>
Line 68: Line 68:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 1.4 === 
 Use the same credentials as on the Xilinx website for user authentication. Select the **Download and Install Now** option and click **Next**. Use the same credentials as on the Xilinx website for user authentication. Select the **Download and Install Now** option and click **Next**.
 </WRAP> </WRAP>
Line 78: Line 77:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 1.5 === 
 Read and **accept** all three license agreements, then click **Next**. Read and **accept** all three license agreements, then click **Next**.
 </WRAP> </WRAP>
Line 88: Line 86:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 1.6 === 
 On the "Select Edition to Install" screen, several options are presented. Vivado WebPACK Edition is fully free, but will not work when developing for Digilent FPGAs that use a Kintex-7 or Virtex-7 part. Vivado Design Edition can be used without a license, and is the edition recommended by Digilent. A license is required to use Vivado System Edition. This guide does not cover the acquisition and management of licenses. Select the most appropriate edition for the situation, then click **Next**. On the "Select Edition to Install" screen, several options are presented. Vivado WebPACK Edition is fully free, but will not work when developing for Digilent FPGAs that use a Kintex-7 or Virtex-7 part. Vivado Design Edition can be used without a license, and is the edition recommended by Digilent. A license is required to use Vivado System Edition. This guide does not cover the acquisition and management of licenses. Select the most appropriate edition for the situation, then click **Next**.
 </WRAP> </WRAP>
Line 98: Line 95:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 1.7 === 
 This screen provides more detailed options for the customization of the installation. The majority of these options do not need to be changed for a basic installation, but unnecessary features can be removed to reduce the installation's footprint on the file-system - for example, most users will not need their Vivado installation to support Ultrascale, Kintex, or Virtex devices. The important options for a beginner to note here are described in the list below. Review the selections, then click **Next**. This screen provides more detailed options for the customization of the installation. The majority of these options do not need to be changed for a basic installation, but unnecessary features can be removed to reduce the installation's footprint on the file-system - for example, most users will not need their Vivado installation to support Ultrascale, Kintex, or Virtex devices. The important options for a beginner to note here are described in the list below. Review the selections, then click **Next**.
   * //Design Tools://   * //Design Tools://
Line 124: Line 120:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 1.8 === 
 The "Select Destination Directory" screen shows how and where the installation will be placed in the computer's file system. Leaving all of these settings as default is typically fine. Click **Next** and then **Yes** if prompted to confirm that the installer will be creating a new directory. The "Select Destination Directory" screen shows how and where the installation will be placed in the computer's file system. Leaving all of these settings as default is typically fine. Click **Next** and then **Yes** if prompted to confirm that the installer will be creating a new directory.
 </WRAP> </WRAP>
Line 134: Line 129:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 1.9 === 
 Review the "Installation Summary", then click **Install**. Review the "Installation Summary", then click **Install**.
 </WRAP> </WRAP>
Line 144: Line 138:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 1.10 === 
 The installation process will take quite a while, potentially more than an hour. Find something else to work on until it completes. The installation process will take quite a while, potentially more than an hour. Find something else to work on until it completes.
 </WRAP> </WRAP>
Line 154: Line 147:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 1.11 === 
 Vivado is now successfully installed! If the "Acquire or Manage a License Key" box was checked in Step 1.7, the Vivado License Manager will launch. The majority of users will not need a license to use Vivado, so the License Manager can just be **closed**. Vivado is now successfully installed! If the "Acquire or Manage a License Key" box was checked in Step 1.7, the Vivado License Manager will launch. The majority of users will not need a license to use Vivado, so the License Manager can just be **closed**.
 </WRAP> </WRAP>
Line 168: Line 160:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 2.1 === 
 The Vivado installer does not install the USB drivers required to recognize an FPGA on a Linux system, regardless of whether the option was checked or not. In order to install these drivers, navigate to the Vivado installation's ''data/xicom/cable_drivers/lin64/install_script/install_drivers/'' directory in a console window. The Vivado installation directory is typically the ''/opt/Xilinx/Vivado/*/'' directory - the "*" representing the Vivado version number (2018.2, for example). From within this directory, run the ''./install_drivers'' command as a super-user. Once this command completes successfully, the required drivers will be installed. The Vivado installer does not install the USB drivers required to recognize an FPGA on a Linux system, regardless of whether the option was checked or not. In order to install these drivers, navigate to the Vivado installation's ''data/xicom/cable_drivers/lin64/install_script/install_drivers/'' directory in a console window. The Vivado installation directory is typically the ''/opt/Xilinx/Vivado/*/'' directory - the "*" representing the Vivado version number (2018.2, for example). From within this directory, run the ''./install_drivers'' command as a super-user. Once this command completes successfully, the required drivers will be installed.
  
-**NOTE**Some older versions of Vivado may require that the install_drivers command be extracted from a TAR file before use.+**Note:** //Some older versions of Vivado may require that the install_drivers command be extracted from a TAR file before use.//
 </WRAP> </WRAP>
 <WRAP column half> <WRAP column half>
Line 180: Line 171:
 <WRAP group> <WRAP group>
 <WRAP column half> <WRAP column half>
-=== 2.2 === 
 In order to use the USB drivers with a serial terminal, each user that will be using serial terminals must be added to the dialout group. Serial terminals can be very useful for debugging FPGA designs that implement a USB-UART controller. A user can be added to the dialout group with the ''sudo adduser $USER dialout'' command. Note that this only adds the user that is currently active. In order to add a non-super-user while authenticated as root, use the command ''adduser <username> dialout'' instead, with "<username>" replaced with the name of the user to be added. In order to use the USB drivers with a serial terminal, each user that will be using serial terminals must be added to the dialout group. Serial terminals can be very useful for debugging FPGA designs that implement a USB-UART controller. A user can be added to the dialout group with the ''sudo adduser $USER dialout'' command. Note that this only adds the user that is currently active. In order to add a non-super-user while authenticated as root, use the command ''adduser <username> dialout'' instead, with "<username>" replaced with the name of the user to be added.
 </WRAP> </WRAP>
Line 188: Line 178:
 </WRAP> </WRAP>
 ---- ----
-==== 3. Installing Digilent Board Files ====+===== 3. Installing Digilent Board Files ====
 +{{section>install-board-files#guide&noheader}}
  
-<WRAP group> 
-<WRAP column half> 
-=== 3.1 === 
-Download the [[https://github.com/Digilent/vivado-boards/archive/master.zip|ZIP archive]] of the Digilent's "vivado-boards" Github repository and extract it into a memorable location where it can stay. 
-</WRAP> 
-<WRAP column half> 
-{{ :vivado:installing-vivado:2018.2:extract-boards.png?800 |}} 
-</WRAP> 
-</WRAP> 
----- 
-<WRAP group> 
-<WRAP column half> 
-=== 3.2 === 
-Find the file "Vivado_init.tcl" in the "utility" subdirectory of the vivado-boards repo. **Copy** and **paste** it into the ''%APPDATA%/Xilinx/Vivado/'' directory for Windows or ''$HOME/.Xilinx/Vivado/'' (after authenticating as superuser) in Linux. This file is a script that will be run whenever Vivado is launched. It will load Digilent's board files for use in Vivado from the directory they were extracted into. 
- 
-**Note:** //The script init.tcl should be used instead of Vivado_init.tcl for Vivado versions 2016.4 and older. If multiple versions of Vivado from before and after 2016.4 are installed, both scripts should used.// 
-</WRAP> 
-<WRAP column half> 
-{{ :vivado:installing-vivado:2018.2:place-init-script.png?nolink&800 |}} 
-</WRAP> 
-</WRAP> 
----- 
-<WRAP group> 
-<WRAP column half> 
-=== 3.3 === 
-Open the copied init script in a text editor. Change the text ''<extracted path>'' in the script to the path to the extracted vivado-boards folder. **Save** and **close** the file. 
-</WRAP> 
-<WRAP column half> 
-{{ :vivado:installing-vivado:2018.2:edit-init-script.png?800 |}} 
-</WRAP> 
-</WRAP> 
----- 
 ==== In Conclusion ==== ==== In Conclusion ====
  
-With Vivado and Digilent's board files installed, you are ready to start developing FPGA projects! To start learning how to use Vivado, check out one of the tutorials below:+With Vivado, Xilinx SDK, and Digilent's board files installed, you are ready to start developing FPGA projects! To start learning how to use Vivado, check out one of the tutorials below:
   * [[:vivado:getting_started:|Getting Started with Vivado]]   * [[:vivado:getting_started:|Getting Started with Vivado]]
-  *  [[:vivado:getting-started-with-ipi:|Getting Started With Vivado IP Integrator]]+  * [[:vivado:getting-started-with-ipi:|Getting Started With Vivado IP Integrator]]
  
 ---- ----
  
-/* Changelog: 2018.2: Modify order of operations in board file installation to preserve vivado-boards/utility contents for version control (only matters for internal use). Updated images */ +{{tag>learn programmable-logic software tutorial vivado arty arty-a7 arty-s7 arty-z7 basys-3 cmod-a7 cmod-s7 cora-z7 genesys-2 nexys-4 nexys-4-ddr nexys-a7 nexys-video zedboard zybo zybo-z7 }}
- +
- +
-{{tag>learn programmable-logic software tutorial vivado arty arty-a7 arty-s7 arty-z7 basys-3 cmod-a7 cmod-s7 cora-z7 genesys-2 nexys-4 nexys-4-ddr nexys-a7 nexys-video zedboard zybo zybo-z7 sword }}+