Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
pmod:pmodkypd:start [2021/05/14 23:03] – ↷ Page moved from reference:pmod:pmodkypd:start to pmod:pmodkypd:start Arthur Brownpmod:pmodkypd:start [2022/09/08 20:50] (current) – changed forum.digilentinc.com to forum.digilent.com Jeffrey
Line 5: Line 5:
      
 {{Digilent Infobox {{Digilent Infobox
-| Store Page = http://store.digilentinc.com/pmodkypd-16-button-keypad/+| Store Page = https://digilent.com/shop/pmod-kypd-16-button-keypad/
 | Manual = [[reference-manual]] | Manual = [[reference-manual]]
-| Support = https://forum.digilentinc.com/forum/7-add-on-boards/+| Support = https://forum.digilent.com/forum/7-add-on-boards/
 | Title = Pmod KYPD | Title = Pmod KYPD
 | Subtitle = 16-button Keypad | Subtitle = 16-button Keypad
Line 24: Line 24:
 | Length = 2.7 in (6.86 cm) | Length = 2.7 in (6.86 cm)
 | Header = Design Resources | Header = Design Resources
-| Fritzing Part = {{pmodkypd.fzpz| }}+| Fritzing Part = {{reference:pmod:pmodkypd:pmodkypd.fzpz| }}
 | Header = Documentation | Header = Documentation
-| Reference Manual = {{https://reference.digilentinc.com/reference/pmod/pmodkypd/reference-manual| }} +| Reference Manual = [[pmod/pmodkypd/reference-manual]] 
-| Schematic = {{pmodkypd_sch.pdf| }} +| Schematic = {{reference:pmod:pmodkypd:pmodkypd_sch.pdf| }} 
-| 3D CAD file = {{Pmod_KYPD.zip| }}+| 3D CAD file = {{reference:pmod:pmodkypd:Pmod_KYPD.zip| }}
 | Header = J1 Pinout | Header = J1 Pinout
 | Full Row = {{ :reference:pmod:pmod-pinout-2x6.png?direct |}} | Full Row = {{ :reference:pmod:pmod-pinout-2x6.png?direct |}}
Line 47: Line 47:
 {{page>reference-manual}} \\ \\ {{page>reference-manual}} \\ \\
    
-===== Tutorials ===== 
-{{topic>pmodkypd +tutorial}} 
-{{topic>pmod +tutorial}} 
  
----- 
  
 ===== Example Projects ===== ===== Example Projects =====
 ==Microprocessor== ==Microprocessor==
-  * {{https://reference.digilentinc.com/learn/software/tutorials/digilent-core-install/start | Installing the Digilent Core for Arduino}}+  * [[learn/software/tutorials/digilent-core-install/start | Installing the Digilent Core for Arduino]]
   * {{:reference:pmod:pmodkypd:kypd.zip|Library and MPIDE Example}}   * {{:reference:pmod:pmodkypd:kypd.zip|Library and MPIDE Example}}
   * [[https://www.hackster.io/mmigs/using-the-pmod-kypd-with-arduino-uno-5a9a3c|Using the Pmod KYPD with Arduino Uno]] - Application note   * [[https://www.hackster.io/mmigs/using-the-pmod-kypd-with-arduino-uno-5a9a3c|Using the Pmod KYPD with Arduino Uno]] - Application note
Line 62: Line 58:
   * {{:reference:pmod:pmodkypd:pmodkypd_ise_demo.zip|Nexys 3 VHDL Example - ISE 13.4}}   * {{:reference:pmod:pmodkypd:pmodkypd_ise_demo.zip|Nexys 3 VHDL Example - ISE 13.4}}
   * {{:reference:pmod:pmodkypd:pmodkypd_demo.zip|Nexys 3 VHDL Example - ISE 14.2}}   * {{:reference:pmod:pmodkypd:pmodkypd_demo.zip|Nexys 3 VHDL Example - ISE 14.2}}
-{{topic>pmodip +tutorial}} +  * [[learn/programmable-logic/tutorials/pmod-ips/start | Getting Started with Digilent Pmod IPs]] 
-{{topic>programmable-logic +pmod }}+    * Digilent Pmod IPs are only supported in Vivado and Xilinx SDK versions 2019.1 and earlier. 
  
 ---- ----
  
 ===== Additional Resources ===== ===== Additional Resources =====
-  * Specification Version 1.2.0: {{https://reference.digilentinc.com/_media/reference/pmod/pmod-interface-specification-1_2_0.pdf |PDF}}+  * Specification Version 1.2.0: {{reference/pmod/pmod-interface-specification-1_2_0.pdf |PDF}}
  
 ---- ----
  
 {{tag>pmod pmod-start pmod-gpio pmodkypd keypad resource-center}} {{tag>pmod pmod-start pmod-gpio pmodkypd keypad resource-center}}