Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Both sides previous revisionPrevious revision
Next revision
Previous revision
nexys:nexysvideo:gsmbs [2015/06/02 23:43] Thomas Kappenmannexys:nexysvideo:gsmbs [2020/05/18 09:25] (current) – [Board Support Files] Monica Ignat
Line 1: Line 1:
 ====== Getting Started with Microblaze Servers ====== ====== Getting Started with Microblaze Servers ======
------+**Note:**The Nexys Video uses a Gigabit Ethernet module which requires the TEMAC IP that is **not contained in the Vivado Webpack**. In order to complete this tutorial, you must either purchase a license for the TEMAC IP or get the evaluation license for free from their website by following [[vivado:temac|this guide]]. 
 + 
  
 ===== Description ===== ===== Description =====
Line 14: Line 16:
   * Xilinx Vivado with the SDK package.    * Xilinx Vivado with the SDK package. 
     * Follow this Wiki guide ([[vivado:installation|Installing Vivado]] ) on how to install and activate Vivado 2014.4     * Follow this Wiki guide ([[vivado:installation|Installing Vivado]] ) on how to install and activate Vivado 2014.4
 +  * TEMAC IP license installed 
 +    * Follow this Wiki guide ([[vivado:temac|Installing the 120 Day Evaluation License for the TEMAC IP]]).
  
 ==== Board Support Files ==== ==== Board Support Files ====
   * Board Support Files. These files will describe GPIO interfaces on your board and make it easier to select your FPGA board and add GPIO IP blocks.    * Board Support Files. These files will describe GPIO interfaces on your board and make it easier to select your FPGA board and add GPIO IP blocks. 
-    * Follow this Wiki guide ([[vivado:boardfiles|Vivado Board Files for Digilent 7-Series FPGA Boards]]  ) on how to install Board Support Files for Vivado 2014.4 **The Nexys Video board files can be found {{:NexysVideo.zip|here}}**+    * Follow this Wiki guide ([[vivado:boardfiles|Vivado Board Files for Digilent 7-Series FPGA Boards]]  ) on how to install Board Support Files for Vivado 2014.4
 +**The Nexys Video {{https://github.com/Digilent/vivado-boards/tree/master/new/board_files/nexys_video/A.0|board files}}**
  
  
Line 110: Line 114:
 {{:nexys4-ddr:server_4.jpg?nolink|}} {{:nexys4-ddr:server_4.jpg?nolink|}}
  
-9. Select the Output Clocks tab and enable //clk_out2//, //clk_out3//. Set //clk_out2// to **200,000MHz**, and //clk_out3// to **125MHz**. Set the Reset Type to **Active Low** using the bullets below. When you are finished, click Ok.+9. Select the Output Clocks tab and enable //clk_out2//, //clk_out3//. Set //clk_out2// to **200MHz**, and //clk_out3// to **125MHz**. Set the Reset Type to **Active Low** using the bullets below. When you are finished, click Ok.
  
 {{:nexys:nexysvideo:6.jpg?nolink|}} {{:nexys:nexysvideo:6.jpg?nolink|}}