Differences

This shows you the differences between two versions of the page.

Link to this comparison view

Next revision
Previous revision
learn:programmable-logic:tutorials:arty-general-io-demo:start [2016/07/08 19:51] – created Sam Klearn:programmable-logic:tutorials:arty-general-io-demo:start [2017/10/23 21:12] (current) Arthur Brown
Line 4: Line 4:
  
 ===== Overview ===== ===== Overview =====
 +==== Description ====
 +
 +Introductory level demonstration project for the Arty's LEDs, switches, buttons, and USB-UART bridge.
 +
 ==== Features Used ==== ==== Features Used ====
  
Line 16: Line 20:
 ^ Serial Flash for Application Data  |  X        |       | ^ Serial Flash for Application Data  |  X        |       |
  
-==== Description ==== 
  
-Introductory level demonstration project for Arty, designed using Vivado 2015.1.+ 
  
 ----- -----
 ===== Prerequisites ===== ===== Prerequisites =====
- 
-===Skills=== 
-  * **Basic familiarity with Vivado** 
-    *  //This experience can be found by walking through our "Getting Started with Vivado" guide// 
  
 ===Hardware=== ===Hardware===
Line 32: Line 32:
  
 ===Software=== ===Software===
-  * **Vivado Design Suite 2015.X**  +  * **Vivado Design Suite 2016.4**  
-    * //Newer/older versions can be used, but the procedure may vary slightly// +    * //Newer versions can be used, but the procedure may vary slightly// 
- +  * **Digilent Board Support Files for Vivado**  
-=== Board Support Files === +    * Follow the [[vivado:boardfiles|Vivado Board Files for Digilent 7-Series FPGA Boards]] guide on how to install Board Support Files for Vivado.
-  * **Arty Support Files**  +
-    * These files will describe GPIO interfaces on your board and make it easier to select your FPGA board and add GPIO IP blocks.  +
-    * Follow the Wiki guide: [[vivado:boardfiles|Vivado Board Files for Digilent 7-Series FPGA Boards]] on how to install Board Support Files for Vivado.+
  
 ----- -----
Line 44: Line 41:
 ===== Downloads ===== ===== Downloads =====
  
-Arty Support Repository -- [[https://github.com/Digilent/Arty/archive/master.zip|ZIP]] [[https://github.com/Digilent/Arty|GIT Repo]]+Arty GPIO Project Support Repository -- [[https://github.com/Digilent/Arty-GPIO/releases/download/v2016.4-1/Arty-GPIO-2016.4-1.zip|ZIP Archive]] [[https://github.com/Digilent/Arty-GPIO|GIT Repo]]
  
 ------ ------
-===== How to...  ===== 
  
  
-==== 1. Generate the Project ==== 
  
->1.1) Download the repository linked in the download section and place it in the location of your choosing.  
  
->1.2) Generate the **GPIO** project in the Projects folder by following this guide before continuing: [[vivado:github|How to Generate a Project from Digilent's Github]] 
  
-==== 2. Build the Project ==== 
  
->2.1) Click **Generate Bitstream** on the left hand menu towards the bottom. Vivado will run through both Run Synthesis and Run Implementation before it generates the bitstream automatically.  
-> 
->Note: If you want, you can click each step by itself in the order of **Run Synthesis**, **Run Implementation** and then **Generate Bitstream**. 
-> 
->{{:basys3:buildflow.png?600|}} 
  
-==== 3. Program the Board ==== 
  
->3.1) Once you have generated your bit file, Click on the hardware manager and connect to your board by choosing the local server option. +===== Download and Launch the Arty GPIO Demo =====
-+
->{{:basys3:device_connected.png?600|}}+
  
->3.2) Click program to load the project onto your Arty. 
  
-==== 4. Run the Project ====+>1.) Follow the [[:learn:programmable-logic:tutorials:github-demos:start:|Using Digilent Github Demo Projects]] Tutorial. This is an HDL design project, and as such does not support Vivado SDK, select the tutorial options appropriate for a Vivado-only design. When prompted to return... 
 + 
 +>2.) To see the UART communication channel, open a terminal program on your computer set to 9600 baud, 8 data bits, no parity bit and 1 stop bit. Choose the COM port that your ARTY is connected to (To determine what COM port your Arty is connected to, open up Device Manager on your machine. Under Ports (COM & LPT) you should see something like 'USB Serial Port (COMX)' where the X is the number of the connected COM port). Then return to the Github Project Tutorial to finish programming and running the demo. 
 + 
 +===== Run the Project =====
  
 To run all the features of this demo, you will only need the Arty board. To run all the features of this demo, you will only need the Arty board.
  
->4.1Using the Switches with Leds +==== 1Using the Switches with Leds ==== 
->+
 >For this section, all the switches are tied to their corresponding led. Every time a switch is toggled, the led directly above it will toggle with it. >For this section, all the switches are tied to their corresponding led. Every time a switch is toggled, the led directly above it will toggle with it.
 > >
Line 84: Line 71:
 >The four tri-color LEDs will cycle colors with no interruption from other I/O.  >The four tri-color LEDs will cycle colors with no interruption from other I/O. 
  
->4.2) UART Communication Using the Buttons +==== 2. UART Communication Using the Buttons ==== 
-> + 
->To see the UART communication channel, open a terminal program on your computer set to 9600 baud, 8 data bits, no parity bit and 1 stop bit. Choose the COM port that your ARTY is connected to (To determine what COM port your Arty is connected to, open up Device Manager on your machine. Under Ports (COM & LPT) you should see something like 'USB Serial Port (COMX)' where the X is the number of the connected COM port). On startup, ARTY will transmit "ARTY GPIO/UART DEMO!". Whenever a button is pressed, ARTY transmits "Button press detected!"+>On startup, ARTY will transmit "ARTY GPIO/UART DEMO!". Whenever a button is pressed, ARTY transmits "Button press detected!".
 > >
 >{{:arty:restart.png?450|}}{{:arty:buttonpress.png?450|}} >{{:arty:restart.png?450|}}{{:arty:buttonpress.png?450|}}
 +
 +{{tag>learn programmable-logic project arty}}