Search

You can find the results of your search below.

Fulltext results:

Basys 2
2 Hits, Last modified:
100MHz) | Header = Connectivity and Onboard I/O | Pmod Connectors = Four 6-pin Pmod ports | VGA = 1 8-bit VGA connector | PS/2 = 1 PS/2 port | Switches = 8 |
Basys 2 Reference Manual
1 Hits, Last modified:
pin headers for user I/Os, and attaching Digilent Pmod accessory circuit boards ---- Signals on the 6