Basys3 XADC Demo

Overview

Features Used

Not Used Used
16 User Switches X
16 User LEDs X
5 User Push Buttons X
4-digit 7-Segment Display X
4 Pmod Connectors X
XADC Analog Input X
12-bit VGA Output X
USB-UART Bridge X
Serial Flash for Application Data X
USB HID Host With Mouse X
USB HID Host With Keyboard X

Description

This simple XADC Demo project demonstrates a simple usage of the Basys3's XADC port capability. The behavior is as follows:

  • The 16 User LEDs increment from right to left as the voltage difference on the selected XADC pins gets larger.
  • The two seven segment displays show the voltage difference on the AD6, AD7, AD14, AD15 pins in volts.
  • sw0 and sw1 select which channel to read from

A Note About The XADC Port

On the Basys3, the XADC Pmod connector houses 4 differential analog pairs. The corresponding XADC channels are 6, 7, 14, and 15. Below is a picture of the pinout of the Pmod Header.

The differential pairs are grouped by columns. Looking at the number above, 1 corresponds to XA1_P and XA1_N, column 2 routes to XA2_P and XA2_N, 3 routes to XA3_P and XA3_N, and 4 routes to XA4_P and XA4_N. Below is from the Basys3 schematics that will show the internal connections of these signals.

From the schematic we can see XA1 is connected to XADC channel 6, XA2 is connected to XADC channel 14, XA3 is connected to XADC channel 7, and XA4 is connected to XADC channel 15.


Prerequisites

Skills

  • Basic familiarity with Vivado
    • This experience can be found by walking through our “Getting Started with Vivado” guide

Hardware

  • Basys3 FPGA board
  • Micro-USB cable
  • Wires and a voltage to measure

Software

  • Vivado Design Suite 2015.X
    • Newer/older versions can be used, but the procedure may vary slightly

Downloads

Basys 3 Support Repository – ZIP GIT Repo


How to...

1. Generate the Project

1.1) Download the repository linked in the download section and place it in the location of your choosing.
1.2) Generate the XADC project in the Projects folder by following this guide before continuing: How to Generate a Project from Digilent's Github

2. Build the Project

2.1) Click Generate Bitstream on the left hand menu towards the bottom. Vivado will run through both Run Synthesis and Run Implementation before it generates the bitstream automatically.

Note: If you want, you can click each step by itself in the order of Run Synthesis, Run Implementation and then Generate Bitstream.

3. Program the Board

3.1) Once you have generated your bit file, Click on the hardware manager and connect to your board by choosing the local server option.

3.2) Click program to load the project onto your Basys3.

4. Run the Project

This portion will help you run the demo and observe all its features.

4.1) Applying a voltage to the XADC port

For this demo, the AD6, 7, 14 and 15 pins are used on the JXADC header. We hooked up a signal generator to our pins. All of the other pins were grounded to avoid coupling.

4.2) Seven Segment Display and LEDs

The 7-Segment display shows the current voltage across the selected xadc pins. The LEDs turn on from right to left as the input voltage increases.

4.3) Selecting a channel

To display a different channel on the display and LEDs, change sw0 and sw1 to the desired channel.