Basys 3 Programming Guide

Overview

There are three ways you can program the Basys3:

  • JTAG
  • Quad SPI Flash
  • USB Flash Drive

This tutorial will walk you through what you need to know to get started on your projects and program your Basys3 FPGA board using each of the three possible methods. It is recommended that you first complete the “Getting Started with Vivado” guide before continuing with this project.


Prerequisites

Skills

Hardware

  • Basys3 FPGA board
  • Micro-USB cable

Software

  • Vivado Design Suite 2015.1
    • Newer/older versions can be used, but the procedure may vary slightly

Board Support Files

  • Basys3 Support Files

Downloads

Source Files – ZIP


Tutorial

1. Creating the Project

First we will need to create a project.

1.1) Open up Vivado (not Vivado HLS) and click “Create New Project” to open Vivado's New Project wizard.

1.2) A new window will open up, click “Next” and you'll see the screen below. Name your project (no spaces!) and choose your project saving directory before clicking “Next”.

1.3) We will be building this project from the ground up and adding our own sources so we will want to create an RTL project. select RTL Project and click “Next”.

1.4) In this window, you can select any source files or directories that you'll want to use in your projects. We can also select which language we'll be programming in. For this project just keep the default settings. We'll be importing the pre-built Verilog files into our project so click the '+' sign in the center of the window, and navigate to where you saved the source files from before, select sw_led.v and click Ok. After selecting your source a series of check boxes should become active. Check mark “Copy sources into project”. If you do not check this box, Vivado will not create separate copies of your sources and place them within your project directory. Instead Vivado will read/modify directly from the source. Click “Next” to continue.

1.5) This window lets you choose existing IP (Intellectual Property) cores if you have them, but for this tutorial no IP's will be necessary. Click “Next”.

1.6) This is where we'll import our Xlilinx Design Constraints file (XDC) to map the HDL signals to the Artix-7 pins. Click on the '+' in the middle of the screen to add files, navigate to where you saved your Basys3_sw_Demo.xdc file, select it, and click “Next”.

1.7) At this point Vivado will open up a part selection window. Select the “Boards” tab highlighted in orange below. If you installed the board files correctly, you should see a list of Digilent boards. Select the Basys3 and click “Next”.



This will create your project and bring you to the Vivado project manager.

You have now successfully imported you program files and configured your project to properly communicate with the Basys3.


2. Creating Program File

For the three ways to program your Basys3 FPGA there are two file types available; .bit and .bin files. Using a .bit file we can use either the JTAG programming cable, or a standard USB storage device to load the bit file into the FPGA. Programming with a .bin file will use the QuadSPI to program the FPGA each time it is powered on. This means you will not have to reprogram it each time via a micro USB cable or by a thumb drive. The following steps bellow will get you all prepared to program your Basys3.

2.1) In order to program the FPGA on startup we have to specify that we want to generate a .bin file. This can be done by clicking Tools> Project Settings> Bitstream. In this window we will check the box next to .bin_file. Now Vivado will create both a .bit, and .bin file when we generate a Bitstream.
2.2) To begin, we will run the synthesis by clicking “Run Synthesis” beneath Synthesis in the Flow Navigator on the left side of Vivado.

2.3) When the program finishes synthesizing your project, you will see the Synthesis Completed window below. Click “Open Synthesized Design” and then press Ok.

2.4) You should now see your Synthesized Design in the window to the right. It should look like this:

2.5) To improve programming speed of our .bin file, in the main toolbar select Tools > Edit Device Properties. Under General, set Enable Bitsream Compression to “TRUE”.

2.6) Under Configuration, set Configuration Rate (Mhz) to 33.

2.7) Under Configuration Modes, select Master SPI x4

2.8) Press “Ok”, save your synthesized design (Ctrl+S) and then click “Generate Bitstream” in the Flow Navigator on the left side.

2.9) This will open a box stating that you have not implemented your design. Click “Ok”.

2.10) Vivado will begin generating your bit and bin files. When completed Vivado will show you this box. Feel free to select “Open Implemented Design”, or “View Reports” but you can also just cancel to continue with the guide.

At this point you have successfully created a .bit and .bin file that are ready to program the Basys 3. As said previously there are three ways to program the Basys3 FPGA board, and we will be walking through each one of them below.


3. Programming the Basys3 using JTAG

JTAG is primarily used as a programming, debugging, and probing port and communicates through the micro-USB port. This makes interfacing with the Basys easy because the micro-USB connection both supplies power to your board, and the ability to program it.

3.1) First, make sure that the jumper JP1 is in the JTAG position and that the Basys 3 is plugged into your computer via micro-USB cord. Once the board is plugged in you should see something like this.

3.2) Click “Program device” (in the green bar) then xc7a35t_0, select your .bit file in the bitstream file box, and click Program.

This will program your Basys3 through the JTAG connector. Once the programming window is closed go ahead and test out your board. Each slide switch at the bottom of your board should now toggle its respective LED on and off.


4. Programming the Basys3 using a USB Flash drive

It is important to note that the USB device that you select to program the Basys3 must be formatted in Fat32. Other than that your device doesn't have to be empty and can contain other files and folders. At this point Vivado is no longer needed to program the FPGA, so you can minimize your project and follow these steps in File Explorer:

4.1) Make sure the jumper on JP1 is in the USB position.
4.2) Plug your USB flash device into your computer.
4.3) Open File Explorer and navigate to the root folder of your Vivado project.
4.4) From root go to root > Project_name.runs > impl_1 and copy the .bit file.
4.5) Go to the root of your USB device and paste the .bit file. The Basys3 on startup will only look through the USB's root for a file with a .bit extension so it is important that the only .bit file in root is the one that you want to be used to program the Basys3.
4.6) Safely remove the USB device from your computer and plug it into the USB port on the Basys3.
4.7) Make sure your board is connected to your computer for power and turn on the Basys3. It should immediately begin writing the .bit file to the FPGA.

Once finished your board should function exactly as it did when programming it with JTAG. Whether you were aware or not you just successfully programmed your Basys3 board two separate ways using the same .bit file.


5. Programming the Basys3 using Quad SPI

Quad SPI Flash is a non-volatile memory that the Basys3's FPGA chip looks at on every startup. If Quad SPI is flashed then the FPGA will program itself with the contents found in Quad SPI's flash memory. This method of programming your board is great when you have a final project that you would like to demo or display that doesn't need to be edited and therefore reprogrammed.

5.1) Make sure the jumper on JP1 is in the QSPI position
5.2) In the Hardware Manager window, under hardware right click your device and click Add Configuration Memory Device…

5.3) This window will pop up. Search for “Spansion” and select the 32 bit device (highlighted below). Click OK on the next window asking if you want to program the configuration memory device.

Important.

The Macronix Flash device with the part number MX25L3233FMI-08G that is located on some Basys 3's is supported in Vivado starting with Vivado 2017.2 version.


5.4) Select the .bin file where it asks for a configuration file and finally click OK.

Vivado will now erase the old configuration file, and reprogram your Basys3 with the demo file. From now on, when you power up the Basys3, the demo will load at startup until you reprogram it.