====== Pmod 8LD Reference Manual ====== The Digilent Pmod 8LD (Revision B) has eight high-brightness LEDs that are driven by logic-level transistors so that each LED can be individually illuminated from a logic high signal. {{Digilent Image Gallery | image = {{:reference:pmod:pmod8ld:pmod8ld-0.png?direct |}} | image = {{:reference:pmod:pmod8ld:pmod8ld-1.png?direct |}} | image = {{:reference:pmod:pmod8ld:pmod8ld-2.png?direct |}} | image = {{:reference:pmod:pmod8ld:pmod8ld-3.png?direct |}} }} == Download This Reference Manual == * {{:reference:pmod:pmod8ld:pmod8ld_rm.pdf| PDF }} ---- ===== Features ===== * Eight high brightness green LEDs * Uses BJTs for low power logic level control * 2×6-pin Pmod port with GPIO interface ---- =====Functional Description ===== The %%Pmod 8LD%% utilizes individual transistors so that each LED can be turned on or off independently. In order to activate an %%LED%%, the associated pin on the pin header must receive about 1mA of current. ---- ===== Interfacing with the Pmod===== The %%Pmod 8LD%% communicates with the host board via GPIO pins. Correspondingly, to turn a particular %%LED%% on, the pin must be driven to a logic high state and driven to a logic low state to turn a %%LED%% off. With the parallel arrangement of these LEDs it is possible to turn on (or off) multiple LEDs simultaneously. A pin description and diagram are provided below. {{ :pmod:pmod:8ld:temp2.png?250|}} ==== Pinout Description Table ==== ^ Header J1 ||| ^ Pin ^ Signal ^ Description | | 1 | LD0 | %%LED%% 0 | | 2 | LD1 | %%LED%% 1 | | 3 | LD2 | %%LED%% 2 | | 4 | LD3 | %%LED%% 3 | | 5 | GND | Power Supply Ground | | 6 | VCC | Power Supply (3.3V) | | 7 | LD4 | %%LED%% 4 | | 8 | LD5 | %%LED%% 5 | | 9 | LD6 | %%LED%% 6 | | 10 | LD7 | %%LED%% 7 | | 11 | GND | Power Supply Ground | | 12 | VCC | Power Supply (3.3V) | ---- ===== Physical Dimensions ===== The pins on the pin header are spaced 100 mil apart. The PCB is 1.1 inches long on the sides parallel to the pins on the pin header and 0.8 inches long on the sides perpendicular to the pin header. ---- ===== Additional Information ===== The schematics of the %%Pmod 8LD%% are available {{:reference:pmod:pmod8ld:pmod8ld_sch.pdf|here}}. Example code demonstrating how to get information from the %%Pmod 8LD%% can be found [[pmod:pmod8ld:start#example_projects|here]]. If you have any questions or comments about the %%Pmod 8LD%%, feel free to post them under the appropriate section ("Add-on Boards") of the [[https://forum.digilent.com/|Digilent Forum]].