====== How to Generate a Project from Digilent's Github Repository (Legacy) ====== {{:vivado:fkwcgvxidfso70p.large_1_.jpg?500|}} =====Overview===== This tutorial will teach you how to download and open one of Digilent's Demo Projects using its corresponding tcl script provided on Github. ----- =====Prerequisites===== ===Skills=== * **None needed** ===Hardware=== * **None needed** ===Software=== * **Vivado Design Suite** * //Some microblaze projects require a specific version of Vivado and will say so, but most projects should not// ----- =====Downloads===== Digilent's Github -- [[https://github.com/Digilent|GIT Repo]] ----- =====Tutorial===== ====1. Download the Project==== Getting the project onto your PC >1.1)Go to the Github repository and find the board you are working with. For this guide, we will be using the Basys3. Download the repo by clicking the **Download Zip** button. > >{{:vivado:git1.jpg?500|}} >1.2) All of the necessary files are included within each project folder, with relative file paths established, so as long as the files aren't moved around within the folder, you can move and run the project from any location. If you downloaded a .zip file from the wiki, just unzip the folder prior to proceeding. ----- ====2. Find the Tcl file location==== Here we'll find and copy the location of the tcl file. >2.1) Within the project folder there will be two subfolders named "proj" and "src". As illustrated in the images below: > >{{:vivado:fmhl8htidfsnqnk.large_1_.jpg?500|}} >2.2) Go into the proj folder and right click the "create_project" file and select "Properties". > >{{:vivado:fvuqbi6idfsnqnl.large_1_.jpg?500|}} >2.3) Highlight and copy the file's location. > >{{:vivado:fl014h7idfsnqno.large_1_.jpg?500|}} ----- ====3. Executing the tcl script==== Here we will execute the tcl script, thus generating the Vivado project. >3.1) Open Vivado and find the Tcl Console on the bottom of the window. Enter the letters '**cd**' (change directory) followed by the file path you copied earlier. > >{{:vivado:f9mofzbidfsnqnq.large_1_.jpg?500|}} >3.2) As in the image above, once you have copied there may be a pop-up with a suggested 'proj/'. If you click on this the Tcl Console will automatically flip the slashes in the address from back slashed ( / ) to forward slashes ( \ ). This is a bit mundane **but is important** since the console will not recognize the address with the backslashes. If it does not automatically correct them, just go in manually and replace each back slash with a forward slash. Execute this first command >3.3) As illustrated below, type in "source ./" and select the auto-fill suggestion 'create_project.tcl'. If there are different versions of your board, select the create_project.tcl that yours corresponds with. Press enter to execute the tcl script. > >{{:vivado:f960rjgidfsnqnt.large_1_.jpg?500|}} The tcl script will create a new project with the source files, constraints, and any supplementary files included. ----- {{tag>learn programmable-logic software tutorial legacy vivado}}